Seven Segment Display (SSD)

Seven Segment adalah suatu segmen- segmen yang digunakan menampilkan angka. Seven segmen ini tersusun atas 7 batang  LED yang disusun membentuk angka 8 dengan menggunakan huruf a-f yang disebut DOT MATRIKS. Setiap segmen ini terdiri dari 1 atau 2 Light Emitting Diode ( LED ).
Jenis-jenis 7'segment dibagi menjadi 2 yaitu:




  • Common Anoda
Semua anoda dari dioda disatukan secara paralel dan semua itu dihubungkan ke VCC dan kemudian LED dihubungkan melalui tahanan pembatas arus keluar dari penggerak. Karena dihubungkan ke VCC, maka COMMON ANODA ini berada pada kondisi AKTIF LOW.
  • Common Katoda
semua katoda disatukan secara parallel dan dihubungkan ke GROUND. Karena seluruh katoda dihubungkan ke GROUND, maka COMMON KATODA ini berada pada kondisi AKTIF HIGH.

Contoh Program 7'segment pada FPGA:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity test is
port (
      clk : in std_logic;
        bcd : in std_logic_vector(3 downto 0);
        segment7 : out std_logic_vector(6 downto 0)
    );
end test;
architecture Behavioral of test is

begin
process (clk,bcd)
BEGIN
if (clk'event and clk='1') then
case  bcd is
when "0000"=> segment7 <="0000001";  -- '0'
when "0001"=> segment7 <="1001111";  -- '1'
when "0010"=> segment7 <="0010010";  -- '2'
when "0011"=> segment7 <="0000110";  -- '3'
when "0100"=> segment7 <="1001100";  -- '4'
when "0101"=> segment7 <="0100100";  -- '5'
when "0110"=> segment7 <="0100000";  -- '6'
when "0111"=> segment7 <="0001111";  -- '7'
when "1000"=> segment7 <="0000000";  -- '8'
when "1001"=> segment7 <="0000100";  -- '9'
when others=> segment7 <="1111111";  -- 'mati semuanya'
end case;
end if;

end process;

end Behavioral;

Logika Program:

Pada program diatas menggunakan jenis 7'segment yang berjenis common anoda dimana hasil yang akan menyala pada 7'segment yang berlogika '0', dan jika clk'event and clk = '1' maka list program akan mengeksekusi satu per satu yang dimana akan menghaislkan angka 0 - 9 atau biasa disebut "UP COUNTER" jika sudah di jalankan semua maka hasil akhirnya pada 7'segment akan pada / mati.

1 komentar:

joebae mengatakan...

biasanya seven segmen ad DP nya, kok ni g ad?

Posting Komentar