Contoh Program D Flip-Flop Pada VHDL


Sebuah contoh program vhdl dari D flip-flop edge triggered positif dengan asynchronous Reset:








Read More..

Library IEE, STD, dan Work

TUGAS FPGA

1. Library IEE:

  • use IEEE.std_logic_unsigned.all;
  • use IEEE.math_real.all;
  • use IEEE.math_complex.all;
  • use IEEE.numeric_bit.all;
  • use IEEE.numeric_std.all;
  • use IEEE.std_logic_signed.all;
  • use IEEE.std_logic_1164.all;
  • use IEEE.std_logic_textio.all;
  • use IEEE.std_logic_arith.all;
2. Library STD:
  • use STD.standard.all;
  • use STD.textio.all;
3. Library Work:
adalah Sebuah pendeklarasian yang implisit,dimana semua source codenya akan dimasukkan ke library tersebut.
Contoh:
  • use WORK.data_types.all;

Read More..

100 Kata Baku dan Tak Baku

"Tugas 1 Bhs. Indonesia"


Untuk sekedar pengetahuan umum tentang penggunaan kata baku & kata tak baku, kata baku biasanya digunakan sebagai tata cara berbicara kepada orang yang lebih dewasa, punya jabatan dan hal-hal yang resmi, sedangkan kata tak baku biasa digunakan untuk berbicara kepada orang yang sebaya dengan kita.






Read More..